プロセスノードに加えて新技術も売り込む! Intelが半導体の「受託生産」で使う“武器”【後編】

2024年4月26日(金)17時5分 ITmedia PC USER

Intel Foundry Direct Connect 2024が開催されたサンノゼ・コンベンションセンター

写真を拡大

 Intelが2月21日(米国太平洋時間)に開催した「Intel Foundry Direct Connect 2024」では、同社が半導体受託生産(ファウンドリー)事業「Intel Foundry」を本格的に始動することを宣言した。
 創業以来、同社は基本的に自社でプロセッサの開発/設計から製造までを行う「垂直統合型」の半導体事業者だった。それだけに、次の2つの疑問が浮かんでくるのは自然なことだろう。
・なぜ、このタイミングで受託生産事業をスタートさせるのか?
・半導体受託生産事業者としての“勝算”はどこにあると考えているのか?
 この2つの疑問に関しては、既に下記の筆者の記事で解説した。
 今回は、ここまでを踏まえた上で、Intel Foundryの今後のロードマップと、現在顧客企業が利用出来るIntel Foundryの最新製造プロセスの特徴などを紹介することにしたい。
●Intelのプロセスノードの「ロードマップ」はどうなっている?
 Intel Foundryで2024年から顧客が利用できるプロセスノードの中核は、以下の5つだ。
・Intel 7
・Intel 4
・Intel 3
・Inte 20A
・Intel 18A
 中編でも触れたように、この5つのプロセスノードはIntelのパット・ゲルシンガーCEOが2021年2月の就任後に掲げた“当面の公約”に相当する「IDM 2.0」に盛り込まれていた「5N4Y(5 Nodes in 4 Years:5年以内に4つのノードを立ち上げる目標)」に含まれていた。この公約はほぼ達成できており、上記の5つのノードはIntel Foundryでも利用できることになっている。
 プロセスノードの解説を担当した同社のステュアート・パン氏(ファウンドリーサービス担当シニアバイスプレジデント兼ゼネラルマネージャー)によると、Intel Foundryが顧客に提供するプロセスノードは、「性能(Performance)」「電力効率(Power)」「面積の集積度(Area)」「製造コスト(Cost)」という半導体製造に関わる4つの評価軸に配慮したメニューになっていると説明する。
 Intel Foundryでは、顧客がこの4つの評価軸から吟味した上で、最適なプロセスノードを選んでプロセッサ(半導体)を生産できるようにしているという。その観点から、先述の5つのノード以外にもさまざまなプロセスノードを取りそろえているとのことだ。
 通常、新規のプロセッサ開発は工程の都合もあり、最短でも1年半〜2年は掛かる。そのため、ファウンドリーは短中期において利用できるプロセスノードのラインアップを事前に明かしておく必要がある。ゆえに、今回のイベントではプロセスノードの短期的なロードマップも公開された。
 次節からは、上記のロードマップをざっくりと解説していく。説明の都合で、最下段の「Mature Node(成熟プロセス)」から見ていきたい。
●あえて「成熟プロセス」を提供するのはなぜ?
 Mature Node(成熟プロセス)は、「Traling Process」とも呼ばれる。パン氏の評価軸でいえば「コスト重視のプロセス」ということになる。もちろん、これは「安物を作るためのプロセス」という意味ではない。
 近代プロセッサの開発/設計/製造では、異なる種類の半導体を1チップにまとめる「チップレットアーキテクチャ」が台頭してきた。そのことで「そのプロセッサが提供する機能全てを、最新のプロセスノードで製造する必要はない」という思想が主流になってきている。
 チップレットアーキテクチャでは、半導体チップ(ダイ)を「ある程度の機能単位」で「適材適所の異なるプロセスノード」によって作ることが可能だ。「高クロックで動作する演算器ブロック」は最新プロセスノードで製造する一方で、それ以外をMature Nodeで製造し、それを1チップに収める——なんていう製造スタイルが、最近の高性能大規模プロセッサでは取られることがある。
 また耐久性を高める観点から、やや高めの電力を取り扱うインタフェース回路には、そこそこの微細度で古めのプロセスノードをあえて使う場合もある。
 Intelは当面、Mature Nodesとして「Intel 7」「Intel 16」「Intel 16-E」を用意している。2025年以降の将来には、同社がイスラエルのTower Semiconductorからの投資を受けた上で、ニューメキシコ州リオランチョにある「Fab 11X」に65nmプロセスノードを立ち上げる他、台湾UMCと共同で米国アリゾナ州チャンドラーにある「Fab 12」「Fab 22」「Fab32」で12nmプロセスノードを新設する。Intel Foundryの顧客企業は、これらのノードも利用できるという。
 Intel 7は、かつて「Enhanced 10nm SuperFin」と呼ばれたプロセスノードで、TSMCにおける「7nmプロセス」に相当するとも言われている。「7」の数字は、少なからずその“実態”を意識していると見られる。
 最先端プロセッサの脇を固めるような高速な各種入出力系の他、先端インタフェース制御系をつかさどる周辺ダイなどを製造するのに適したノードだという。
 Intel 16とIntel 16-Eは、文字通り16nm相当のプロセスノードだ。Wi-Fi(無線LAN)/Bluetoothモジュールや5G通信モジュールを始めとする無線デバイス系の周辺ダイといった普及デバイス製造に適している。
 Intel 16-Eの「E」は、ロードマップにも注釈があるように「機能拡張(Feature Extension)のあるIntel 16プロセス」となる。機能拡張が一体何を指すのかは、現時点では具体的に説明されていないが、「特定顧客向けのカスタム製法」「プロセッサ内部に搭載するトランジスタや配線などの材質/種類などを、上位プロセスノードから継承したフィーチャーセットで利用できるような仕組み」などと推察されている。
 Tower 65nmプロセッサは、Tower Semiconductorが得意とするBCD(Bipolar-CMOS-DMOS)デバイスの製造に適しているとされる。具体的には、アナログ回路(Bipolar)、CMOS(ロジック)、DMOS(高耐圧素子)を混在生産できる特徴がある。想定製品としては、車載デバイスや産業機械向けのプロセッサ製造に向いている。
 Intelでは、Intel Foundryの“主力”として「Intel 3」「Intel 4」を据えようとしているようだ。
●主力のプロセスノードたち
 パン氏のいう4つの評価軸を最もバランス良く満たし、コストパフォーマンスに優れたプロセスノードとして、当面の主力として提供されのはIntel 4やIntel 3だ。
 かつて、Intel 4は「7nm」、Intel 3は「7nm++」と呼ばれていたプロセスノードで、やはりTSMCにおける「4nmプロセス」「3nmプロセス」に相当すると言われている。
 Intel 3は、Intel 4あたりで実用化された「EUV(Extreme Ultra Violet:極端紫外線)リソグラフィー技術」を引き続き採用するプロセスノードで、2024年中に登場する予定の自社製CPU「Xeon 6プロセッサ」(開発コード名:Sierra Forest/Granite Rapids)で採用されている。
 用語になじみのない人もいると思うので補足しておくと、「リソグラフィー技術」とは、半導体製造における工程の1つで、製造する半導体の土台となる「ウエハ」に光を照射して回路パターンを描く技術だ。
 例えば「★」型に切り抜いたシールをお腹に貼って日焼けをすると、★型の日焼け跡ができる。これを★型でなくプロセッサ内部の配線パターンとし、ウエハ上に焼き付けるのがリソグラフィー技術となる。
 難しい話を省略して結論だけを言うと、光の波長が短ければ短いほど、微細度の高いリソグラフィーを作れるようになるため、最新の半導体プロセスではEUVを用いることがトレンドとなっている。
 Intel 3には、派生プロセスとして「Intel 3-T」も用意される。これはIntel 3に「TSV(Through Silicon Via:シリコン貫通電極)」を適用できる拡張版だ。
 詳細は前回の記事でも触れているが、Intel Foundryは、卓越したパッケージング技術に最大の特徴がある。Intel 3-Tを用いて製造したダイなら、前回の記事でも触れた「Foveros 2.5D&3D」的な、ダイ同士を貼り合わせたパッケージングも可能だ。
 「Intel 20A」と「Intel 18A」は、処理性能を最重要視するプロセスノードとして訴求される。イメージ的に、Intel 20Aは「Intel 18Aの初期版」的な位置付けとなっているようで、Intel自身はもちろん、顧客もIntel 18Aの方を本命視している節がある。
 ナノメートル(nm)を想起する一桁から、突然数値が二桁に増えて驚いた人もいるかもしれないが、18Aや20Aは、かつて原子や分子の大きさを測るのに使われていた「オングストローム(Angstrom)」に由来している。単位は「Å」で表記されるが、同社のプロセス表記ではアルファベットの「A」に置き換えられている。
 半導体のプロセスノードは3nmの先、つまり2nmクラス以降は小数点を刻むことになることが見えてきている。ゆえに「1nm=10Å」であるこの単位を引っ張り出してきたわけである。
 なお「Intel ○○A」は、TSMCにおける2nm以降のプロセスノードの10倍の値をイメージすれば良いようだ(例:TSMCの2nmプロセスは、Intel 20Aに近いイメージ)。
 次のページでは、オングストローム世代のプロセスで使われる新技術について解説していこう。
●オングストローム世代のプロセスで使われる新技術
 このオングストローム世代のIntelプロセスでは、「2つの新技術」が採用されることがアピールされている。
RibbonFET(GAAFET/GAAトランジスタ)
 1つは「GAAFET(Gate All Around Fin field Effect Transistor)(GAAトランジスタ)」だ。Intelではこれを「RibbonFET」と呼んでいる。
 トランジスタは、ゲートへの電力制御において、ソースとドレインの間(≒チャネル)の通電を制御する素子だ。この手の話では、チャネルは「水流」、ゲートは「水門」に例えられるが、基本的にトランジスタはゲート〜チャネル間の接触面積が大きい方がリーク(漏えい)電流を少なく抑えられるため、性能と電力効率の面で優秀とされる。
 しかし、プロセスの微細化を進めていくに従って接触面積がどんどん少なくなっている。この流れの中で「どうやって接触面積を稼ぐのか?」という新たな技術的課題が発生し、それに対する技術開発が行われた。
 その結果、「三次元(3D)トランジスタ」の異名で知られる「FinFET」が誕生した。その新世代版として開発されたのが「GAAFET」である。
 Intel 20A/18Aでは、このGAAFET(RibbonFET)が利用できるようになる。
 FinFETと比較した際のRibbonFETのメリットは、前述したように一段進んだ低電力で駆動できることにある。構造的に素子自体の占有面積も相対的に小さくできるので、同じサイズのチップで同じプロセスノードであれば、FinFETよりもたくさんのロジックを詰め込めることもメリットだ。
PowerVia
 2つ目は「PowerVia」という、Intel独自の新しいトランジスタの構造技術だ。
 従来のCPU(トランジスタ)の構造と比べると、PowerViaでは給電回路と信号回路をほぼ完全に分離してプロセッサを形成できる。そのため、対ノイズ性能が高まり、低電力化が実現しやすくなる上に、動作クロックも上げやすい。
 加えて、太い給電回路と細い信号回路を分離できることから、信号回路の複雑化や搭載トランジスタの増量にも貢献する。
 もう少し詳しく説明しよう。
 上図の左側にある従来設計のCPUでは、微細トランジスタ群を積層するに当たり、電源配線をダイ(チップ)の表面(上層)から裏面(下層)に向かうように重ねている。
 実際の製造工程では最下層の方から上に向かって積層していくのだが、この工法では電源の配線と信号線とが隣接/混在することになる。電磁気学的な観点からすれば、超高速な信号のやりとりを行う高性能CPUでは、信号線と電源配線が隣接/混在するのは極力避けることが望ましい。
 余談だが、従来工法のCPUは、製品化の段階で上下(裏表)を逆転してパッケージ基板に実装する、いわゆる「フリップチップ実装」が行われる。
 対して、PowerViaは最初の積層時にトランジスタ層と信号配線層だけを積層し、そこでいったん作業を終了し、ウエハごとひっくり返して、今度は電源配線を積層していくという“二段構え”で製造される。結果、電源配線と信号配線はほぼ分離できる。
 これによりCPUは高クロック化しやすくなる上、電気抵抗が減ることで消費電力も抑えられる……のだが、聞くからに製造工程は高度かつ面倒臭そうである。ゆえに、製造コストは相応にお高そうなイメージが漂う。
●次世代ノードは「Intel 14A」
 今回のイベントでは、Intel 18Aの次世代プロセス「Intel 14A」も紹介された。
 Intel 14Aは、リソグラフィー技術面の新技術として「High-NA EUV技術」を実用化している。EUVは先述の通り極端紫外線のことで、High-NAは「High-Numerical Aperture」、日本語にすると「高開口数」のことだ。開口数は光学用語で、対物レンズの集光範囲の指標で、値が大きいほどたくさんの光が集められることを表す。
 リソグラフィー工程は、光の波長も短ければ短いほどよく、その集光レンズが明るければ明るいほど効率が高まる。光源としてEUVを活用している以上、波長は固定されるので、EUVリソグラフィーの効率を高めるには、開口数を高めるのが一番手っ取り早い。
 そこで生まれたのがHigh-NA EUV技術だ。これはオランダの半導体製造装置メーカーである。ASMLが生み出した技術で、Intelも同社のHigh-NA EUVリソグラフィー装置を導入している。
 Intelは、Intel 14Aプロセスと、同プロセスを拡張した「Intel 14A-E」を2025年以降2027年までに実用化する計画だ。
 最後に、Intel Foundry Direct Connect 2024を通してIntelが“言いたかったこと”をまとめる。
●Intel Foundryは“誰”のために?
 ここまで3本の記事でIntel Foundryについて見てきたが、Intelが言いたかったことをまとめると以下のようになる。
・半導体生産量が次世代経済の中核となる以上、地政学的な観点も踏まえて、Intelが半導体受託製造者として歩み出すことには合理性がある
・最先端プロセスはもちろん、他に負けないパッケージング技術を持つIntelは、既存の半導体受託製造者に対して「特異性」や「独自性」を発揮できる。ゆえに、同社は2030年までにこの業界で第2位の地位に登り詰める確信を持っている
・Intelでは先端プロセスノードを実用化済みで、次世代ノードへの見通しも立っている。業界の皆様、ごひいきにしてください!
 製造業における「脱中国依存」的な事情だけでなく、昨今の「AIブーム」の追い風もあって、“先端”半導体の不足傾向は世界的に続いている。Intel Foudry事業は同社にとっての好機だと見る向きは、確かに説得力はある。
 言うまでもなく、現在のIntelの主な収入源はCPUを始めとするプロダクト事業(プロセッサ関連の販売)だ。しかし、Intel Foundryが本格的に立ち上がって10数年経過すると、もしかするとIntel Foundryの売上がプロダクト事業を上回るかもしれない。
 しかし、最先端の製造プロセスは、利用コストが非常に高いとされる。Intel Foudryの価値を最大限使いこなせるのは、AMD、NVIDIA、QualcommやArmといった先端プロセッサ(設計)メーカーや、AppleやMicrosoftクラスの大手メーカーに限られる。
 もっというと、このような最先端プロセスが必要なのは超高性能プロセッサのみで、一般的な家電製品に搭載される各種LSI、PC周辺機器の制御チップ、自動車に利用される制御プロセッサなどのほとんどは、枯れた二桁nmの製造プロセスで製造されている。コロナ禍で「半導体不足」が叫ばれたときに、実際に足りなかった半導体製品は、まさにそうした「枯れた二桁nmの製造プロセス」で製造されるプロセッサ群だった。
 2024年2月時点でIntel Foundryの採用を表明しているのは、Qualcomm(Intel 20A)、Arm(Intel 18A)とMicrosoft(Intel 18A)くらいで、他の大型顧客が付きそうな気配はよく見えていない。そのこともあって「Intelが言うほど(Intel Foundryは)うまく行かないよ」と言う業界関係者もいる。
 いずれにせよ、今の時点でIntel Foundryの成否を判断することは出来ないので、今後の動向に注目していきたい。
 最後に、少し違う視点からIntel Foundryについて考えてみよう。
 ファウンドリーを含めて、半導体製造業はどんなに先端技術メニューがそろっていても、農業と同じように高い実動経験値が求められる。その点、Intelは自社CPUで豊富すぎるほどに実績を積んでいる。たとえ“できたてホヤホヤ”の最新プロセスノードであっても、Intelには自社CPUの製造によって迅速かつ重厚に鍛え上げられたアドバンテージで対処できるだろう。
 このことは、Intel Foundryにとって大きな強みとなりうる。
 かつて、Intel以外のプロセッサメーカーは、CPUやGPUのアーキテクチャを刷新する際に最新プロセッサノードでの製造を避ける風潮があった。これは、製造したCPUやGPUが想定通りのパフォーマンスを発揮できない場合に原因の切り分けが難しいという課題があるからだ。もっとハッキリいうと、アーキテクチャ自体に問題が残っているのか、製造プロセスに問題があるのか判別しづらいがゆえに、あえて最新プロセスに行かないという感じだ。
 特に、大規模なモノリシックダイ(シングルチップ)構成のGPU(特にハイエンドモデル)では、その傾向が強い。NVIDIAやAMDは共に、最新アーキテクチャのGPUの初期製品は最新プロセスノードで作ることを避け、ある程度時間がたったタイミングで移行することが多かった。
 その点、Intel Foundryの場合、利用するプロセスの基礎的な“鍛え上げ”はIntelの自社製品を通して済んでいる可能性が高い。卓越したパッケージング技術も備わっている。
 実は「超高性能かつ最先端アーキテクチャの大規模プロセッサを開発したい」と考えている半導体メーカー、特にIntelのライバルであるNVIDIA、AMDやAppleこそ、Intel Foundryに大きな魅力を覚えているのかもしれない。

ITmedia PC USER

「Intel」をもっと詳しく

「Intel」のニュース

「Intel」のニュース

トピックス

x
BIGLOBE
トップへ